دفتر فنی مهندسی آموزه های هزاره سوم

مشاوره ، آموزش ، پروژه
دفتر فنی مهندسی آموزه های هزاره سوم

گرداننده و نگارنده : محمد نوری

البرز ، کرج ، سه راه گوهردشت ، جنب ایستگاه تاکسی گوهردشت ، برج گوهر ، طبقه چهار ، واحد دوازده

09125623558
Nouri.Iut@Gmail.Com

entity ad9517_cg_ctrl is

    port

    (

        clk : in std_logic;

        rst : in std_logic;

 

        CG_REFMON : in std_logic; --Input <REFMON> is never used !?!

        CG_STATUS : in std_logic; --Input <STATUS> is never used !?!

 

        CG_SDIO : inout std_logic; --Serial Control Port Bidirectional Serial Data In/Out

 

        CG_REF_SEL : out std_logic; --This pin has an internal 30 k PULL-DOWN resistor.

        CG_SCLK : out std_logic; --Serial Control Port Data Clock Signal

        CG_CSL : out std_logic; --Serial Control Port Chip Select; This pin has an internal 30 k PULL-UP resistor.

        CG_SYNCL : out std_logic;

        CG_RESETL : out std_logic; --Chip Reset; This pin has an internal 30 k PULL-UP resistor.

        CG_PDL : out std_logic --Chip Power Down; This pin has an internal 30 k PULL-UP resistor.

    );

end ad9517_cg_ctrl;

نظرات  (۰)

هیچ نظری هنوز ثبت نشده است

ارسال نظر

ارسال نظر آزاد است، اما اگر قبلا در بیان ثبت نام کرده اید می توانید ابتدا وارد شوید.
شما میتوانید از این تگهای html استفاده کنید:
<b> یا <strong>، <em> یا <i>، <u>، <strike> یا <s>، <sup>، <sub>، <blockquote>، <code>، <pre>، <hr>، <br>، <p>، <a href="" title="">، <span style="">، <div align="">
تجدید کد امنیتی