دفتر فنی مهندسی آموزه های هزاره سوم

مشاوره ، آموزش ، پروژه
دفتر فنی مهندسی آموزه های هزاره سوم

گرداننده و نگارنده : محمد نوری

البرز ، کرج ، سه راه گوهردشت ، جنب ایستگاه تاکسی گوهردشت ، برج گوهر ، طبقه چهار ، واحد دوازده

09125623558
Nouri.Iut@Gmail.Com

۱ مطلب با کلمه‌ی کلیدی «GS8320Z36GT» ثبت شده است

entity gs8320z36gt_sram_ctrl is

    generic

    (

        sram_hold_time      : time := 500 ps;

        read_after_write    : integer := 0;

        data_width          : integer := 36;

        addr_width          : integer := 20

    );   

    port   

    (   

        clk                 : in std_logic;

        rst                 : in std_logic;

   

        sram_addr           : in std_logic_vector(addr_width-1 downto 0);

        sram_rd_en          : in std_logic;

        sram_wr_en          : in std_logic;