دفتر فنی مهندسی آموزه های هزاره سوم

مشاوره ، آموزش ، پروژه
دفتر فنی مهندسی آموزه های هزاره سوم

گرداننده و نگارنده : محمد نوری

البرز ، کرج ، سه راه گوهردشت ، جنب ایستگاه تاکسی گوهردشت ، برج گوهر ، طبقه چهار ، واحد دوازده

09125623558
Nouri.Iut@Gmail.Com

۱ مطلب با کلمه‌ی کلیدی «M25P128» ثبت شده است

entity m25p128_sfm_ctrl is

    generic

    (

        sck_ratio_g         : integer := 2;

        sfid_g              : std_logic_vector(23 downto 0) := X"202018"

    );

    port

    (

        clk_80m             : in std_logic; --80 MHz

        clk_40m             : in std_logic; --40 MHz

        rst                 : in std_logic;

               

        sfm_opcode          : in std_logic_vector(2 downto 0);

       

        sfm_wr_strb         : in std_logic; --Indicates start of new write data