entity m25p128_sfm_ctrl is
generic
(
sck_ratio_g : integer := 2;
sfid_g : std_logic_vector(23 downto 0) := X"202018"
);
port
(
clk_80m : in std_logic; --80 MHz
clk_40m : in std_logic; --40 MHz
rst : in std_logic;
sfm_opcode : in std_logic_vector(2 downto 0);
sfm_wr_strb : in std_logic; --Indicates start of new write data
sfm_rd_strb : in std_logic;
sfm_din : in std_logic_vector(31 downto 0);
sfm_dout : out std_logic_vector(31 downto 0) := (others => '0');
sfm_addr : in std_logic_vector(23 downto 0);
sfm_wr_active : out std_logic := '0';
sfm_wr_done : out std_logic := '1';
sfm_rd_active : out std_logic := '0';
sfm_rd_done : out std_logic := '1';
sfm_er_done : out std_logic := '1';
sfm_alive : out std_logic := '0';
sfm_error : out std_logic_vector(3 downto 0);
--SPI Interface
SFM_SCK : out std_logic;
SFM_MISO : in std_logic;
SFM_MOSI : out std_logic;
SFM_SSL : out std_logic
);
end m25p128_sfm_ctrl;